正文内容 评论(0

Intel要把EMIB封装带到桌面处理器 7/10/14nm能合体了
2020-01-05 16:47:02  出处:快科技 作者:宪瑞 编辑:宪瑞     评论(0)点击可以复制本篇文章的标题和链接

未来的CPU还会如何发展?Intel高管在采访中表示他们会把EMIB封装技术用于桌面处理器,这样一来未来的酷睿处理器可以同时集成7/10/14nm等工艺的芯片

作为摩尔定律的提出者及最坚定的支持者,Intel之前表示会在10nm节点之后恢复此前的2年升级一次工艺的周期,继续给摩尔定律续命。不过话说回来,现在的摩尔定律内涵也变了,Intel未来还会通过先进工艺,比如EMIB技术推动处理器继续高密度集成。

Aandtech网站之前在IEDM会议上采访了Intel工艺及产品集成总监Ramune Nagisetty,谈到了Intel在先进封装技术上的进展及发展方向,尤其是EMIB技术。

Ramune Nagisetty表示Intel已经出货了200万个基于EMIB封装的芯片,11月底的时候Intel才提到他们出货了100万EMIB封装芯片,看起来进展还不错。

目前Intel使用EMIB技术的主要是Lakefield芯片,奇特的1+4核架构,主要用于低功耗移动设备,微软未来的Surface某款产品就会用这个芯片。

Ramune Nagisetty在采访中表示,EMIB未来会用于大规模出货的产品,包括桌面处理器,不过她没有提及详情,我们也不知道Intel打算在哪一代酷睿CPU上使用EMIB技术。

但可以肯定的是,2021年量产7nm之后,Intel的10nm、14nm甚至22nm工艺都不会被淘汰,可以按需组合用于未来的桌面处理器。

Intel要把EMIB封装带到桌面处理器 7/10/14nm能合体了

EMIB详细解释后面有,简单来说EMIB是一种2.5D封装技术,可以让不同工艺、不同架构的芯片几何在一起,好处是灵活搭配,毕竟不是所有芯片都需要最顶级的工艺。

EMIB技术的核心思想也是chiplets小芯片设计,跟AMD的7nm Zen2处理器差不多,不过技术上不同,AMD的模块化芯片封装没这么先进,两家的目标是差不多的。

EMIB全称为Embedded Multi-Die Interconnect Bridge意为“嵌入式多裸片互连桥接

这个名词大家可能会感觉比较陌生,不过说起最典型的产品肯定就明白了,那就是Kaby Lake-G,Intel首次集成AMD Vega GPU图形核心,它和HBM显存之间就是独立裸片采用EMIB整合封装在一起的。

EMIB是一种高密度的2D平面式封装技术,可以将不同类型、不同工艺的芯片IP灵活地组合在一起,类似一个松散的SoC。

Intel要把EMIB封装带到桌面处理器 7/10/14nm能合体了

在这种封装方式中,发挥核心作用、连接不同裸片的是硅中介层(Interposer),通过它可以灵活地混搭各种裸片,诸如CPU、GPU、HBM显存等等,对于裸片的尺寸等也没有严格要求,而且整体制造简单,封装工艺也是标准的,成本上非常经济。

不过它也有一些不足之处,比如中介层增加了额外的连接步骤,容易影响性能,而且中介层的尺寸也有限制,所以更适合一些集成裸片不多、互连要求不太高的产品。

Intel要把EMIB封装带到桌面处理器 7/10/14nm能合体了

【本文结束】如需转载请务必注明出处:快科技

责任编辑:宪瑞文章纠错

  • 支持打赏
  • 支持0

  • 反对

  • 打赏

文章价值打分

当前文章打分0 分,共有0人打分
  • 分享好友:
  • |
本文收录在
#CPU处理器#Intel

  • 热门文章
  • 换一波

  • 好物推荐
  • 换一波

  • 关注我们

  • 微博

    微博:快科技官方

    快科技官方微博
  • 今日头条

    今日头条:快科技

    带来硬件软件、手机数码最快资讯!
  • 抖音

    抖音:kkjcn

    科技快讯、手机开箱、产品体验、应用推荐...